実行コマンド
実行コマンド名が変わっていますが、irun → xrun 以外は nc が xm になるだけです。これに伴い、各コマンドによって出力されるファイル名も変わっています。
Incisive | Xcelium |
---|---|
irun | xrun |
ncvlog | xmvlog |
ncvhdl | xmvhdl |
ncelab | xmelab |
ncsim | xmsim |
nchelp | xmhelp |
ncsc_run | xmsc_run |
irun と xrun のオプション
以下の表にはオプション名が変更されたものをまとめました。こちらも例に漏れず nc が xm に変更されており、IFV. IEV 関連のオプションが無くなっています。(-log_ifv だけ残ってる?)irun | xrun |
---|---|
-helpncverilog | -helpxmverilog |
-iev | - |
-ifv | - |
-log_iev <logfile> | - |
-log_ncelab <logfile> | -log_xmelab <logfile> |
-log_ncprotect <logfile> | -log_xmprotect <logfile> |
-log_ncsc_run <logfile> | -log_xmsc_run <logfile> |
-log_ncsim <logfile> | -log_xmsim <logfile> |
-log_ncvhdl <logfile> | -log_xmvhdl <logfile> |
-log_ncvlog <logfile> | -log_xmvlog <logfile> |
-prefix_ncsim <arg> | -prefix_xmsim <arg> |
-ncdebug | -xmdebug |
-ncelabexe <exe> | -xmelabexe <exe> |
-ncelabfile | -xmelabfile |
-ncerror <arg> | -xmerror <arg> |
-ncfatal <arg> | -xmfatal <arg> |
-ncprotect_file <file_name> | -xmprotect_file <file_name> |
-ncsimexe <exe> | -xmsimexe <exe> |
-ncsimfile | -xmsimfile |
また、xrun では以下のオプションが追加されています。
オプション | 概要 |
---|---|
-amssupertop | Enable ams IP reuse flow |
-check_snap_dep | Check snapshot for up-to-dateness |
-check_vst_dep | Check VSTs for up-to-dateness |
-dbsname <name[@<dir>]> | Specify the name[@dir] of the DBS xrun command |
-elabonly | elaborate only, do NOT compile or simulate |
-enable_single_yvlib | Compile -v and -y files into a single library |
-fast_recompilation | Enable fast recompilation |
-forceprimupdate | Forces check for primary snapshot up-to-dateness |
-gdbhref | Run genhref under gdb |
-gdbprim | Run primary builds under gdb |
-log_mcebuild <logfile> | Place the mcebuild output into specified logfile |
-log_xmelab_ske <logfile> | skeleton xmelab output logfile |
-log_xmvlog_ske <logfile> | skeleton xmvlog output logfile |
-makelibpost <libpath[:logical]> | Compile HDL files after command line files |
-mce | Enable Multicore Simulation Acceleration |
-mce_acc_estimation <file> | Predict multicore performance |
-prefix_primelab <arg> | Prefix to the MSIE xmelab command for primaries |
-simtmp <dir> | Use specified simulation scratch directory |
-workmce | Use worklib_mce for skeleton compilation |
-xmfile_msgcntl <filename> | Control file to change severity of messages |
-xray | Use xray profiling |
-mce ... これを追加すればマルチコアモードになって爆速になるのかな?
システムタスク
私はできるだけ使わないようにしているシステムタスクですが、こちらも名前が変わっていました。 他のシミュレーションツール (ModelSim 等) の環境から移行する際に使うくらい。VHDL の場合は $ 不要。Incisive | Xcelium |
---|---|
$nc_mirror | $xm_mirror |
- | $xm_mirror_force() |
$nc_deposit | $xm_deposit |
$nc_force | $xm_force |
$nc_release | $xm_release |
$xm_mirror_force が追加されていました。きっと使うことは無いと思われます。
その他
中間ファイル格納ディレクトリ名が INCA_libs から xcelium.d に変わっていました。中身も ****.nc が ****.d に変わっています。
0 件のコメント:
コメントを投稿